orde_bg

produtos

Semicondutores Compoñentes electrónicos TPS7A5201QRGRRQ1 Ic Chips Servizo BOM Compra única

Descrición curta:


Detalle do produto

Etiquetas de produtos

Atributos do produto

TIPO DESCRICIÓN
Categoría Circuítos integrados (CI)

Xestión de enerxía (PMIC)

Reguladores de voltaxe - lineais

Mfr Texas Instruments
Serie Automoción, AEC-Q100
Paquete Cinta e bobina (TR)

Cinta de corte (CT)

Digi-Reel®

SPQ 3000T&R
Estado do produto Activo
Configuración de saída Positivo
Tipo de saída Axustable
Número de Reguladores 1
Tensión: entrada (máx.) 6,5 V
Tensión - Saída (mín./fixo) 0,8 V
Tensión - Saída (máx.) 5,2 V
Caída de tensión (máx.) 0,3 V @ 2 A
Corrente - Saída 2A
PSRR 42 dB ~ 25 dB (10 kHz ~ 500 kHz)
Características de control Activar
Características de protección Sobretemperatura, polaridade inversa
Temperatura de operación -40 °C ~ 150 °C (TJ)
Tipo de montaxe Montaxe en superficie
Paquete / Estuche 20-VFQFN Almofada exposta
Paquete de dispositivos do provedor 20-VQFN (3,5 x 3,5)
Número de produto base TPS7A5201

 

Visión xeral das fichas

(i) Que é un chip

O circuíto integrado, abreviado como IC;ou microcircuíto, microchip, o chip é unha forma de miniaturizar circuítos (principalmente dispositivos semicondutores, pero tamén compoñentes pasivos, etc.) na electrónica, e adoita fabricarse na superficie das obleas de semicondutores.

(ii) Proceso de fabricación de chip

O proceso completo de fabricación de chips inclúe deseño de chip, fabricación de obleas, fabricación de paquetes e probas, entre os que o proceso de fabricación de obleas é particularmente complexo.

O primeiro é o deseño do chip, segundo os requisitos de deseño, o "patrón" xerado, a materia prima do chip é a oblea.

A oblea está feita de silicio, que se refina a partir de area de cuarzo.A oblea é o elemento de silicio purificado (99,999%), despois o silicio puro faise en barras de silicio, que se converten no material para a fabricación de semicondutores de cuarzo para circuítos integrados, que se cortan en obleas para a produción de chips.Canto máis delgada sexa a oblea, menor será o custo de produción, pero máis esixente é o proceso.

Revestimento de oblea

O revestimento de obleas é resistente á oxidación e á temperatura e é un tipo de fotorresistente.

Desenvolvemento e gravado de fotolitografía de obleas

O fluxo básico do proceso de fotolitografía móstrase no seguinte diagrama.En primeiro lugar, aplícase unha capa de fotorresistente á superficie da oblea (ou substrato) e sécase.Despois do secado, a oblea transfírese á máquina de litografía.A luz pásase a través dunha máscara para proxectar o patrón da máscara sobre o fotorresistente na superficie da oblea, permitindo a exposición e estimulando a reacción fotoquímica.As obleas expostas son entón cocidas por segunda vez, coñecida como cocción post-exposición, onde a reacción fotoquímica é máis completa.Finalmente, o revelador é pulverizado sobre o fotorresistente na superficie da oblea para desenvolver o patrón exposto.Despois do desenvolvemento, o patrón da máscara déixase na fotoresistencia.

O pegado, a cocción e o revelado realízanse no revelador de soleira e a exposición realízase na fotolitografía.O revelador de soleira e a máquina de litografía normalmente operan en liña, transfiríndose as obleas entre as unidades e a máquina mediante un robot.Todo o sistema de exposición e desenvolvemento está pechado e as obleas non están expostas directamente ao ambiente circundante para reducir o impacto dos compoñentes nocivos no ambiente sobre a fotorresistencia e as reaccións fotoquímicas.

Dopaxe con impurezas

Implantando ións na oblea para producir os correspondentes semicondutores de tipo P e N.

Proba de obleas

Despois dos procesos anteriores, fórmase unha rede de dados na oblea.Compróbanse as características eléctricas de cada matriz mediante unha proba de pin.

Embalaxe

As obleas fabricadas están fixas, atadas a alfinetes e confeccionadas en diferentes paquetes segundo os requisitos, polo que o mesmo núcleo de chip pódese empaquetar de diferentes xeitos.Por exemplo, DIP, QFP, PLCC, QFN, etc.Aquí está determinado principalmente polos hábitos de aplicación do usuario, o ambiente de aplicación, o formato do mercado e outros factores periféricos.

Proba, empaquetado

Despois do proceso anterior, a produción de chip está completa.Este paso consiste en probar o chip, eliminar os produtos defectuosos e empaquetalo.

A relación entre as obleas e as patacas fritas

Un chip está formado por máis dun dispositivo semicondutor.Os semicondutores son xeralmente díodos, triodos, tubos de efecto de campo, pequenas resistencias de potencia, indutores, capacitores, etc.

É o uso de medios técnicos para cambiar a concentración de electróns libres no núcleo atómico nun pozo circular para cambiar as propiedades físicas do núcleo atómico para producir unha carga positiva ou negativa dos moitos (electróns) ou poucos (buratos) para formar varios semicondutores.

O silicio e o xermanio son materiais semicondutores de uso habitual e as súas propiedades e materiais están facilmente dispoñibles en grandes cantidades e cun baixo custo para o seu uso nestas tecnoloxías.

Unha oblea de silicio está formada por un gran número de dispositivos semicondutores.A función dun semicondutor é, por suposto, formar un circuíto segundo sexa necesario e existir na oblea de silicio.


  • Anterior:
  • Seguinte:

  • Escribe aquí a túa mensaxe e envíanolo